Scientific Library of Tomsk State University

   E-catalog        

Image from Google Jackets
Normal view MARC view

Protecting Chips Against Hold Time Violations Due to Variability electronic resource by Gustavo Neuberger, Gilson Wirth, Ricardo Reis.

By: Neuberger, Gustavo [author.]Contributor(s): Wirth, Gilson [author.] | Reis, Ricardo [author.] | SpringerLink (Online service)Material type: TextTextPublication details: Dordrecht : Springer Netherlands : Imprint: Springer, 2014Description: XI, 107 p. 76 illus., 51 illus. in color. online resourceContent type: text Media type: computer Carrier type: online resourceISBN: 9789400724273Subject(s): engineering | Computer Science | Systems engineering | Engineering | Circuits and Systems | Processor ArchitecturesDDC classification: 621.3815 LOC classification: TK7888.4Online resources: Click here to access online
Contents:
Introduction, Process Variations and Flip-Flops -- Process Variability -- Flip-Flops and Hold Time Violations -- Circuits Under Test -- Measurement Circuits -- Experimental Results -- Systematic and Random Variablility -- Normality Tests -- Probability of Hold Time Violations -- Protecting Circuits Against Hold Time Violations -- Padding Efficiency Of the Proposed Padding Algorithm -- Final Remarks.
In: Springer eBooksSummary: This book presents physical understanding, modeling and simulation, on-chip characterization, layout solutions, and design techniques that are effective to enhance the reliability of various circuit units.  The consequences of variability to several aspects of circuit design, such as logic gates, storage elements, clock distribution, and any other that can be affected by process variations are discussed, with a key focus on storage elements.  The authors present a statistical analysis of the critical clock skew in several test paths, due to process variability in 130nm and 90nm CMOS technology. To facilitate an on-wafer test, a measurement circuit with a precision compatible to the speed of the technology is described.  ·         Provides a comprehensive review of various reliability mechanisms; ·         Describes practical modeling and characterization techniques for reliability ·         Includes thorough presentation of robust design techniques for major VLSI design units ·         Promotes physical understanding with first-principle simulations.
Tags from this library: No tags from this library for this title. Log in to add tags.
No physical items for this record

Introduction, Process Variations and Flip-Flops -- Process Variability -- Flip-Flops and Hold Time Violations -- Circuits Under Test -- Measurement Circuits -- Experimental Results -- Systematic and Random Variablility -- Normality Tests -- Probability of Hold Time Violations -- Protecting Circuits Against Hold Time Violations -- Padding Efficiency Of the Proposed Padding Algorithm -- Final Remarks.

This book presents physical understanding, modeling and simulation, on-chip characterization, layout solutions, and design techniques that are effective to enhance the reliability of various circuit units.  The consequences of variability to several aspects of circuit design, such as logic gates, storage elements, clock distribution, and any other that can be affected by process variations are discussed, with a key focus on storage elements.  The authors present a statistical analysis of the critical clock skew in several test paths, due to process variability in 130nm and 90nm CMOS technology. To facilitate an on-wafer test, a measurement circuit with a precision compatible to the speed of the technology is described.  ·         Provides a comprehensive review of various reliability mechanisms; ·         Describes practical modeling and characterization techniques for reliability ·         Includes thorough presentation of robust design techniques for major VLSI design units ·         Promotes physical understanding with first-principle simulations.

There are no comments on this title.

to post a comment.